コンテンツへスキップ

第59号 LPBニュース

━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━
第59号 LPBニュース 2020年4月8日配信
半導体&システム設計技術委員会編集
━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━
★★★★★ 今回のトピックス ★★★★★
■委員長より新年度のご挨拶
■LPB-MBSE(モデルベースシステムズエンジニアリング)研究会(仮)参加メンバー募集
■コラム第25回 私とLPB

───────────────────────────────────────────
■委員長より新年度のご挨拶
───────────────────────────────────────────
皆さんこんにちは、JEITA半導体&システム設計技術委員会主査の福場です。
新年度になり改めてご挨拶させていただきます。

新たな年度を迎えて更なる業界発展を願いたいところですがCOVID-19の感染拡大で身動きが
しづらい昨今です。このような時世にいては正しい情報と冷静な判断が大切です。買い占め騒
ぎを見ていても判ることは、いくらSNSなどのコミュニケーションの手段が普及しても内容が正
しくなければ人々は誤った判断と行動を起こします。これらは「あいまい」な段階で間違った
「憶測」をすることが原因です。
さて、電子機器開発の中でも機器開発者と部品・サービス提供者との間の相互理解について
これまでも取り組んできました。これまではモデルの端子と部品の外部端子などを接続する情
報を正しく伝えるLPBフォーマット(IEC 63055/IEEE 2401)を開発し改良を重ねてきました
(改訂版IEEE 2401-2019が2020年1月発行)。昨年度からはモデルベースデベロップメント
(MBD)を通じて「あいまい」な段階から目的(ゴール)を見定めながら開発を進める手法を
検討しています。
本年度はシステム要求を解釈し設計工程をデザインする勉強を始めたいと考えています。
具体的にはモデルベースシステムズエンジニアリング(MBSE)の理解を深め、設計環境構築
や開発工程のデザインに応用すること、工程の改善や組替えによる根本的な協調設計を生み
出したい。今期の参加募集にMBSE研究会を加えました。皆様の参加をお待ちしております。

───────────────────────────────────────────
■LPB-MBSE(モデルベースシステムズエンジニアリング)研究会(仮)参加メンバー募集
~開発上流からのEMC・ESD-EOS(Electric Over Stress)・熱、ノイズ、電力消費対策~
───────────────────────────────────────────
<背景と目的>
電子機器の開発途上にいてはEMC(ESD-EOS による性能劣化・誤動作含む)、熱、ノイズ、電
力消費等様々な問題が発生し、開発遅延やコストアップを招きます。JEITA 半導体&システム設
計技術委員会ではこれらの課題を上流で解決するフロントローディング設計手法を議論するモデ
ルベース/システム設計ワーキンググループを設置しています。
これまでモデルベースデベロップメント(MBD)の手法を使って設計フローの提言・技術情報
交換データの改良や開発事例の作成に取り組んできました。さらにシステムからの要求を分析す
ることによって開発工程をデザインするモデルベースシステムズエンジニアリング(MBSE)手法
を取り入れ開発イノベーションを生み出すことを目指します。

詳細についてはこちら

参加メンバー募集(2020年度 JEITA 半導体&システム設計技術委員会事業)

───────────────────────────────────────────
■コラム第25回 私とLPB
───────────────────────────────────────────
IEEE/IECのDualロゴ化を達成した今、LPBフォーマット開発関係者からの苦労話を掲載する
ことにしました。第25回目はマジレムの中村さんです。
では、中村さんよろしくお願いします。

こんにちはマジレムの中村幸二です。
宜しくお願い致します

マジレムを知らない人の為に、簡単に会社紹介を致します。

[マジレムとは?]
マジレムは2006年に設立されたフランスに本社を持つEDAベンダーで、
IEEE1685(IP-XACT)をベースにした設計ソリューションを提供しております。
IP-XACTはLPBと同じXMLフォーマットとなっており、IP、サブシステム、SoC、ボー
ド、システムなどをIP-XACTで定義する事が可能です。
設計者はIPやシステムの仕様書、エクセルで記載されたレジスタ情報など、様々な
データをTcl, Python, Ruby, Javaなどスクリプトを用いて
自動的にIP-XACTへマッピングする事が可能です。
また生成されたIP-XACTプラットフォームからVerilog/VHDL/SystemCなどのネットリ
ストや、ソフトウェアエンジニア向けにヘッダーファイルの出力、SystemVerilogの
検証環境の生成などが可能となります。
現状では、世界中のIPベンダーや半導体ベンダーの多くがIPの外部提供やSoC/ASICな
どの設計フローでIP-XACTを活用しております。

[LPBとの出会い]
私とLPBの最初の出会いは遡る事、数年前のSystemC Japanの展示会だったと思います。
.... 続きは、

私とLPB 第25回


からご覧ください。

 

Translate »